SE-PIM: In-Memory Acceleration of Data-Intensive Confidential Computing

نویسندگان

چکیده

Demand for data-intensive workloads and confidential computing are the prominent research directions shaping future of cloud computing. Computer architectures evolving to accommodate large data better. Protecting computation sensitive is also an imperative yet challenging objective; processor-supported secure enclaves serve as key element in cloud. However, side-channel attacks threatening their security boundaries. The current processor consume a considerable portion its cycles moving data. Near promising approach that minimizes redundant movement by placing inside storage. In this paper, we present novel design Processing-In-Memory (PIM) workload accelerator Based on our observation closer memory can achieve efficiency confidentiality processed information simultaneously, study advantages \emph{inside} memory. We then explain model programming developed PIM-based offloading. construct findings into software-hardware co-design, which call PIM-Enclave. Our illustrates acceleration. evaluation shows PIM-Enclave provide resistant offloading run applications with negligible performance overhead compared baseline PIM model.

برای دانلود باید عضویت طلایی داشته باشید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Resistive Memory Based Acceleration of Data Intensive Computing

Resistive memory technologies hold the promise of replacing mainstream on-chip memory while providing enhanced throughput and capacity in modern compute systems. Demonstrating material, process, and circuit compatibility with existing CMOS infrastructures, resistive memories deliver non-volatility, no static power consumption, and improved density. Application of these technologies, however, re...

متن کامل

An Acceleration Processor for Data Intensive Scientific Computing

Scientific computations for diffusion equations and ANNs (Artificial Neural Networks) are data intensive tasks accompanied by heavy memory access; on the other hand, their computational complexities are relatively low. Thus, this type of tasks naturally maps onto SIMD (Single Instruction Multiple Data stream) parallel processing with distributed memory. This paper proposes a high performance ac...

متن کامل

Memory Intensive Computing

Over the past years, new memory technologies such as RRAM, STT-MRAM, and PCM have emerged. These technologies employ devices located within the metal layers of the chip, which are relatively fast, dense, and power efficient and can be considered as 'memristors'. To date, research in these devices has primarily focused on memristors as flash, DRAM, and SRAM replacement. In this presentation, we ...

متن کامل

A Prototype Processing-In-Memory (PIM) Chip for the Data-Intensive Architecture (DIVA) System

The Data-Intensive Architecture (DIVA) system employs Processing-In-Memory (PIM) chips as smartmemory coprocessors. This architecture exploits inherent memory bandwidth both on chip and across the system to target several classes of bandwidth-limited applications, including multimedia applications and pointer-based and sparse-matrix computations. The DIVA project has built a prototype developme...

متن کامل

The Characterization of Data Intensive Memory Workloads on Distributed PIM Systems

Processing-In-Memory (PIM) circumvents the von Neumann bottleneck by combining logic and memory (typically DRAM) on a single die. This work examines the memory system parameters for constructing PIM based parallel computers which are capable of meeting the memory access demands of complex programs that exhibit low reuse and non uniform stride accesses. The analysis uses the Data Intensive Syste...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

ژورنال

عنوان ژورنال: IEEE Transactions on Cloud Computing

سال: 2022

ISSN: ['2168-7161', '2372-0018']

DOI: https://doi.org/10.1109/tcc.2022.3207145